Wyślij wiadomość

Aktualności

November 18, 2020

Chiny przyspieszają zaawansowany rozwój chipów

HOREXS jest jednym ze słynnych producentów PCB substratu IC w Chinach, Prawie PCB używa do testowania / testowania IC / Storage IC, montażu IC, takich jak MEMS, EMMC, MCP, DDR, SSD, CMOS tak dalej. Co było profesjonalne 0.1-0.4mm zakończona produkcja PCB FR4!

Chiny przyspieszają wysiłki na rzecz rozwoju krajowego przemysłu półprzewodników, w obliczu trwających napięć handlowych z Zachodem, w nadziei, że staną się bardziej samowystarczalne.

Kraj wciąż pozostaje w tyle pod względem technologii IC i nie jest nawet blisko samowystarczalności, ale robi zauważalne postępy.Do niedawna krajowi producenci chipów w Chinach tkwili w dojrzałych procesach odlewniczych, których nie było w pamięci.Jednak ostatnio odlewnia z siedzibą w Chinach weszła na rynek finFET 14 nm, z 7 nm w badaniach i rozwoju.Chiny również zapadają w pamięć.W sektorze wyposażenia fabryk Chiny opracowują własny system litografii ekstremalnego ultrafioletu (EUV), który jest technologią wzorującą się na najbardziej zaawansowanych funkcjach chipów.

Jest mało prawdopodobne, że Chiny opracują w najbliższym czasie własny system EUV.A jeśli chodzi o to, narodowe wysiłki dotyczące odlewnictwa i pamięci są skromne, przynajmniej na razie.A Chiny w najbliższym czasie nie wyprzedzą międzynarodowych producentów chipów.

Niemniej jednak rozwija krajowy przemysł IC z kilku powodów.Po pierwsze, Chiny importują większość swoich chipów od zagranicznych dostawców, tworząc ogromną lukę handlową.Chiny mają spory przemysł IC, ale nie są wystarczająco duże, aby wypełnić tę lukę.W odpowiedzi naród inwestuje miliardy dolarów w swój sektor IC, planując produkcję większej ilości własnych chipów.Mówiąc najprościej, chce się mniej uzależnić od zagranicznych dostawców.

Chiny ostatnio przyspieszyły te wysiłki, zwłaszcza gdy Stany Zjednoczone rozpoczęły wielotorową wojnę handlową z narodem.Tylko w jednym przykładzie Stany Zjednoczone utrudniły Huawei uzyskanie amerykańskich chipów i oprogramowania.Niedawno Stany Zjednoczone zablokowały firmie ASML możliwość wysyłania skanera EUV do SMIC, największego chińskiego dostawcy odlewni.Chiny postrzegają te i inne działania jako sposób na zahamowanie wzrostu, skłaniając je do przyspieszenia rozwoju własnych technologii.

Tymczasem Stany Zjednoczone twierdzą, że ich działania związane z handlem są uzasadnione, twierdząc, że Chiny są zaangażowane w nieuczciwe praktyki handlowe i nie chronią amerykańskiej własności intelektualnej.Chiny odrzucają te twierdzenia.Niemniej jednak branża musi zwracać uwagę na kwestie handlowe, a także postęp Chin w dziedzinie półprzewodników.Zawierają:

SMIC dostarcza 14 nm finFET, z procesem podobnym do 7 nm w badaniach i rozwoju.

Firma Yangtze Memory Technologies (YMTC) weszła niedawno na rynek 3D NAND z 64-warstwowym urządzeniem.128-warstwowa technologia jest w fazie badań i rozwoju.

Firma ChangXin Memory Technology (CXMT) wprowadza na rynek swój pierwszy produkt - linię pamięci DRAM 19 nm.

Chiny rozszerzają się na półprodukty złożone, w tym azotek galu (GaN) i węglik krzemu (SiC).

Chińskie systemy OSAT opracowują bardziej zaawansowane pakiety.

To wszystko brzmi imponująco, ale Chiny wciąż tracą.„Chiny wydają jak szalone.Strategia Chin polega na byciu graczem w produkcji półprzewodników.Wynika to z chęci posiadania większego udziału w krajowych możliwościach produkcyjnych, a także ze względów bezpieczeństwa ”- powiedział Risto Puhakka, prezes VLSI Research.„Ale udział Chin w pamięci jest niewielki.Po stronie logiki stoją za TSMC.Chiny są dalekie od samowystarczalności z jakiegokolwiek rozsądnego aspektu ”.

To nie jedyne problemy.„Chiny wciąż stoją przed wieloma wyzwaniami, w tym potrzeba większej liczby talentów i własności intelektualnej w produkcji półprzewodników oraz potrzeba dalszego zmniejszania luki w wiodących technologiach procesowych” - powiedział Leo Pang, dyrektor ds. Produktów w D2S.„Największym wyzwaniem jest napięcie między rządami USA i Chin, które powoduje niepewność w dostawach sprzętu produkcyjnego i oprogramowania EDA”.

Chińska strategia

Chiny są zaangażowane w przemysł IC od dziesięcioleci.W latach 80. miał kilku państwowych producentów chipów z przestarzałą technologią.Dlatego w tamtym czasie Chiny wprowadziły kilka inicjatyw w celu modernizacji przemysłu IC.Z pomocą zagranicznych koncernów w latach 80-tych i 90-tych kraj rozpoczął kilka przedsięwzięć związanych z chipami.

Mimo to Chiny znalazły się w tyle za Zachodem w technologii półprzewodników z kilku powodów.W tym czasie Zachód wprowadził surową kontrolę eksportu do Chin.Sprzedawcom sprzętu zabroniono wysyłania najbardziej zaawansowanych narzędzi do Chin.

Następnie w 2000 roku Chiny uruchomiły dwóch nowych i nowoczesnych krajowych dostawców odlewni - Grace i SMIC.Do tego czasu kontrole eksportu w Chinach zostały złagodzone.Dostawcy sprzętu po prostu potrzebowali licencji na wysyłanie narzędzi do Chin.

Mniej więcej w tym czasie Chiny stały się dużą bazą produkcyjną o niskim wskaźniku siły roboczej.Popyt na żetony gwałtownie wzrósł.Z biegiem czasu kraj stał się największym na świecie rynkiem żetonów.

Począwszy od końca 2000 roku, międzynarodowi producenci chipów zaczęli budować fabryki w Chinach, aby uzyskać dostęp do rynku.Fabryki pamięci firmy Intel, Samsung i SK Hynix w Chinach.TSMC i UMC zbudowały tam fabryki odlewnicze.

Według IC Insights do 2014 roku Chiny konsumowały chipy o wartości 77 miliardów dolarów, ale większość z nich importowały.Co więcej, według IC Insights Chiny wyprodukowały tylko 15,1% tych chipów.Reszta została wyprodukowana poza Chinami.

W odpowiedzi, uzbrojony w miliardy dolarów finansowania, chiński rząd przedstawił w 2014 roku nowy plan. Celem było przyspieszenie działań Chin w zakresie 14 nm finFET, pamięci i opakowań.

Następnie, w 2015 roku, Chiny rozpoczęły kolejną inicjatywę, nazwaną „Made in China 2025”.Celem jest zwiększenie krajowej zawartości komponentów w 10 obszarach - IT, robotyka, lotnictwo, transport morski, kolejnictwo, pojazdy elektryczne, sprzęt energetyczny, materiały, medycyna i maszyny.Ponadto Chiny mają nadzieję, że staną się bardziej samowystarczalne w zakresie układów scalonych i chcą zwiększyć swoją krajową produkcję do 70% do 2025 r., Zgodnie z IC Insights.

Według IC Insights w 2019 r.Chiny konsumowały chipy o wartości 125 miliardów dolarów, ale nadal większość z nich importują.Chiny wyprodukowały tylko 15,7% tych chipów, więc jest mało prawdopodobne, aby kraj ten osiągnął swoje cele produkcyjne do 2025 roku.

najnowsze wiadomości o firmie Chiny przyspieszają zaawansowany rozwój chipów  0

Rys. 1: Chiński rynek IC a trendy produkcyjne Źródło: IC Insights

Chiny stoją także przed innymi wyzwaniami, w szczególności z niedoborem talentów technicznych.„Chiny wciąż poszukują więcej talentów w produkcji półprzewodników” - zauważył Pang z D2S.„Dzieje się tak głównie dlatego, że Chiny budują kilkanaście nowych fabryk.Zatrudniono już tysiące, jeśli nie dziesiątki tysięcy doświadczonych inżynierów półprzewodników z fabryk na Tajwanie, w Korei, Japonii, a nawet w USA, płacąc im bardzo atrakcyjne pakiety wynagrodzeń ”.

Z drugiej strony Chiny szybko odbudowały się po pandemii Covid-19 na początku tego roku.W pierwszej połowie 2020 r. Popyt na chipy i sprzęt był duży w Chinach i innych krajach.„Wydajność 200 mm nadal jest w pełni wykorzystana w szerokim zakresie zastosowań końcowych.W obszarze 300 mm sytuacja wyglądała podobnie w zeszłym roku ”- powiedział Walter Ng, wiceprezes ds. Rozwoju biznesu w UMC.

Inni widzą podobne trendy.„Chińskie rynki testów półprzewodników i opakowań były odporne przez cały okres Covid-19” - powiedziała Amy Leong, wiceprezes firmy FormFactor.„Popyt utrzymuje się na stałym poziomie, napędzany przez połączenie dynamiki zbudowanej w ciągu ostatnich kilku lat dzięki inicjatywie„ Made in China 2025 ”oraz niedawnej paniki budowania / kupowania w obliczu napięć między Chinami a USA.Powiedziawszy to, widzimy rosnący poziom niepewności popytu w Chinach w miarę narastania obawy przed globalną recesją gospodarczą ”.

Nastrój jest również napięty.Począwszy od 2018 roku Stany Zjednoczone rozpoczęły wojnę handlową z Chinami, nakładając cła na towary wytwarzane w Chinach.Chiny wzięły odwet.

Wojna handlowa nasila się.W zeszłym roku Stany Zjednoczone dodały Huawei i jego wewnętrzny układ scalony HiSilicon do „listy podmiotów”, twierdząc, że firmy stanowią zagrożenie dla bezpieczeństwa.Aby prowadzić interesy z Huawei, firma amerykańska musi uzyskać licencję od rządu USA.Wielu amerykańskim dostawcom odmówiono, co wpływa na ich wyniki finansowe.

Następnie, na początku tego roku, Stany Zjednoczone rozszerzyły definicję „wojskowego użytkownika końcowego” w Chinach.Ma to na celu uniemożliwienie chińskiej armii uzyskania jakiejkolwiek technologii amerykańskiej.

W maju Stany Zjednoczone postanowiły powstrzymać napływ chipów do Huawei z zagranicznych fabryk.„Idąc dalej, zagraniczna fabryka musi wstrzymać sprzedaż do Huawei, jeśli spełnia następujące trzy warunki: A) fabryka używa sprzętu lub oprogramowania z USA do produkcji chipów;B) chip został zaprojektowany przez Huawei;oraz C) producent chipów ma wiedzę, że wyprodukowany produkt jest przeznaczony dla Huawei ”- powiedział Paul Gallant, analityk w Cowen.„(Wymaga to) zagranicznych producentów chipów używających sprzętu amerykańskiego w celu uzyskania licencji przed sprzedażą chipów firmie Huawei.Ale język nowej zasady nie może faktycznie zakazać takiej sprzedaży.Z drugiej strony nowa zasada obejmuje tylko chipy faktycznie zaprojektowane przez HiSilicon, a nie wszystkie chipy wyprodukowane przez zagraniczne fabryki sprzedawane Huawei. ”

W pewnym momencie TSMC może wstrzymać nowe zamówienia dla Huawei.Nie jest jasne, jak to wszystko się potoczy.Zasady są niejasne i mogą ulec zmianie z dnia na dzień.

Foundry, wysiłki EUV

Jeszcze przed wojną handlową Chiny były w trakcie realizacji dużego programu rozbudowy fabryk.Według raportu SEMI „World Fab Forecast Report” w 2017 i 2018 roku w Chinach budowano 18 fabryk.Ostatecznie te fabryki zostały zbudowane.

Według SEMI w Chinach są obecnie w budowie 3 fabryki.„Dwie z tych fabryk są przeznaczone dla odlewni.Jeden ma 8 cali, a drugi 12 cali.Jest jeszcze jedna pamięć (12 cali).Na desce kreślarskiej jest jeszcze 7 ”- powiedział Christian Dieseldorff, analityk w SEMI.

Przemysł odlewniczy stanowi duży procent zdolności produkcyjnych Chin.Chiński przemysł odlewniczy dzieli się na dwie kategorie - dostawców krajowych i międzynarodowych.

TSMC i UMC należą do międzynarodowych koncernów.TSMC obsługuje fabrykę 200 mm w Szanghaju.W 2018 roku TSMC rozpoczęło wysyłkę finFETów 16 nm w innej fabryce w Nanjing.

UMC produkuje chipy w fabryce 200 mm w Suzhou.UMC ma również nowe przedsięwzięcie odlewnicze 300 mm w Xiamen, które dostarcza 40 nm i 28 nm.

Tymczasem krajowi dostawcy odlewni w Chinach, tacy jak ASMC, CS Micro i Huahong Group, skupiają się na dojrzałych procesach.W czołówce, startup HSMC rozwija technologie 14nm i 7nm w zakresie badań i rozwoju.

Według TrendForce, SMIC, najbardziej zaawansowana chińska firma odlewnicza, jest piątym co do wielkości dostawcą odlewni na świecie, po TSMC, Samsung, GlobalFoundries i UMC.

Aż do zeszłego roku najbardziej zaawansowanym procesem SMIC była technologia planarna 28 nm.Dla porównania, TSMC wprowadziło 28 nm dziesięć lat temu.Dziś TSMC rozwija 5 nm z 3 nm w badaniach i rozwoju.

To bolesne miejsce dla chińskiego rządu.Ponieważ Chiny są w tyle, chińscy producenci OEM muszą kupować swoje najbardziej zaawansowane chipy od zagranicznych dostawców.

Z drugiej strony nie ma luki dla dojrzałych procesów w Chinach.„Luka w węzłach technologicznych nie jest problemem dla większości fabryk, ponieważ większość układów scalonych używanych w aplikacjach IoT i motoryzacyjnych nie wymaga najnowocześniejszych węzłów” - powiedział Pang z D2S.

Niemniej SMIC stara się rozwijać zaawansowane procesy.W 2015 roku SMIC, Huawei, Imec i Qualcomm utworzyły w Chinach wspólne przedsięwzięcie badawczo-rozwojowe w zakresie technologii chipów z planami opracowania 14 nm procesu finFET.

To duży krok.„Przejście na finFETs przy 14 nm nie jest łatwe.Wszyscy walczyli z tym ”- powiedział Puhakka z VLSI Research.„Podobnie jak SMIC.To, co próbują zrobić, jest trudne ”.

Jednak ten ruch jest niezbędny, aby kontynuować skalowanie.Przy 20 nm tradycyjne tranzystory planarne się wyczerpują.Dlatego w 2011 roku Intel przeszedł na tranzystory finFET w 22nm.FinFET są szybsze i mają mniejszą moc niż tranzystory planarne, ale są też trudniejsze i droższe w produkcji.

Później GlobalFoundries, Samsung, TSMC i UMC przeszły na finFET przy 16nm / 14nm.(Proces 22nm Intela jest mniej więcej równoważny 16nm / 14nm z odlewni).

Wreszcie, po latach badań i rozwoju, SMIC w 2019 roku osiągnął kamień milowy, wysyłając pierwsze chińskie 14 nm finFET.Obecnie 14 nm stanowi niewielki procent sprzedaży SMIC.„Opinie naszych klientów na temat technologii 14 nm są pozytywne.Nasza technologia 14 nm obejmuje zarówno sektor komunikacyjny, jak i motoryzacyjny, z zastosowaniami obejmującymi niskopoziomowe procesory aplikacyjne, pasmo podstawowe i produkty konsumenckie ”- powiedzieli podczas telekonferencji Zhao Haijun i Liang Mong Song, współzarządzający SMIC.

Mimo to SMIC spóźnia się na imprezę.Na przykład procesor aplikacji to najbardziej zaawansowany układ w smartfonie.Dzisiejsze smartfony zawierają procesory aplikacji oparte na 7 nm.Większość innych układów w smartfonach, takich jak czujniki obrazu i RF, opiera się na dojrzałych węzłach.

A 14 nm nie jest konkurencyjne cenowo w przypadku najbardziej zaawansowanych procesorów aplikacyjnych.„SMIC zaczyna robić 14nm.Ale jeśli spojrzysz na smartfony, projekty są wykonane w 7 nm ”- powiedział Handel Jones, dyrektor generalny IBS.„Jeśli spojrzeć na koszt tranzystora przy 7 nm, miliard tranzystorów kosztuje od 2,67 do 2,68 USD.Miliard tranzystorów przy 14 nm kosztuje około 3,88 USD.Więc masz dużą różnicę w kosztach ”.

Jednak technologia 14 nm jest opłacalna na innych rynkach.„Technologia 14 nm może być stosowana w tanich smartfonach 4G i 5G, ale nie w popularnych i zaawansowanych smartfonach.14 nm może być używane w aplikacjach infrastruktury 5G z odpowiednią architekturą procesora i systemu ”- powiedział Jones.

Teraz, dzięki finansowaniu od rządu, SMIC opracowuje 12 nm finFET i to, co nazywa „N + 1”.12nm to zmniejszona wersja 14nm.Planowana na koniec roku N + 1 jest rozliczana jako technologia 7 nm.

N + 1 nie jest tym, czym się wydaje.„N + 1 SMIC jest odpowiednikiem 8 nm firmy Samsung, która jest nieco lepsza niż 10 nm TSMC” - powiedział Samuel Wang, analityk w firmie Gartner.„N + 1 SMIC jest mało prawdopodobny w tym roku.12 nm może być gotowe do produkcji do końca 2020 r. ”

Po raz kolejny SMIC może przegapić okno rynkowe.Do czasu dostarczenia 8 nm w 2021 r., Producenci OEM smartfonów przejdą na 5 nm dla procesora aplikacji.

To nie jedyny problem.SMIC może produkować 8nm lub 7nm przy użyciu istniejącego sprzętu fabrycznego.Poza tym w obecnym sprzęcie litograficznym wyczerpuje się para.Tak więc poza 7 nm producenci chipów wymagają EUV, technologii litograficznej nowej generacji.

Jednak Stany Zjednoczone niedawno zablokowały ASML możliwość wysyłania skanerów EUV do SMIC.Jeśli SMIC nie może uzyskać EUV, firma utknęła na 8nm / 7nm.„Stany Zjednoczone zablokowały sprzedaż EUV do SMIC (w zeszłym roku) w ramach umowy z Wassenaar.Nie mogę wyobrazić sobie wysyłki EUV do Chin w dającej się przewidzieć przyszłości.Ale przy 14 nm nieco ponad 1% sprzedaży SMIC, nie potrzebują technologii EUV przez kilka lat ”- powiedział Krish Sankar, analityk w Cowen and Co.

Jednak w pewnym momencie Chiny chcą wyjść poza 7 mil morskich.Dlatego Chiny pracują nad własną technologią EUV.Chiny nie opracowały w pełni funkcjonalnego skanera EUV - mogą go nigdy nie opracować.Ale na arenie trwają prace.Podsystemy EUV są opracowywane w kilku instytutach badawczych.Na przykład Szanghajski Instytut Optyki i Mechaniki Sztuk Pięknych Chińskiej Akademii Nauk (CAS) opisał w zeszłym roku rozwój EUV napędzanego kilowatowym laserem.W 2020 r. Naukowcy z Instytutu Mikroelektroniki CAS opublikowali artykuł na temat „Wielowarstwowej charakterystyki defektów EUV poprzez uczenie zgodne z cyklem”.

„Prowadzi się wiele badań dotyczących różnych składników EUV” - powiedział Puhakka z VLSI Research.„Nie wydaje mi się, żeby zrobili postępy, aby mieć możliwe do wyprodukowania narzędzie EUV.Opracowanie własnego EUV będzie długim procesem.Nie powiem nigdy, ale to długa i ciężka droga ”.

Inni się zgodzili.„Zakładam, że widzimy tylko część tego, co robią Chiny.To jak góra lodowa, większość jest niewidoczna.Ich naukowcy publikują artykuły na temat technologii EUV, ale prace, które widziałem, były głównie teoretyczne.Zakładam, że istnieje jakiś podstawowy sprzęt ”- powiedział Harry Levinson, dyrektor w HJL Lithography.

Pamięć, wysiłki niezwiązane z pamięcią

Tymczasem Chiny mają ogromną lukę handlową w zakresie pamięci, a mianowicie DRAM i NAND flash.DRAM jest używany jako pamięć główna w systemach, a NAND jest używany do przechowywania.

Chiny importują większość swojej pamięci.Intel, Samsung i SK Hynix obsługują fabryki pamięci w Chinach, które produkują chipy zarówno na rynek krajowy, jak i międzynarodowy.

Aby zmniejszyć swoją zależność w tym kraju, Chiny rozwijają krajowy przemysł pamięci.W 2016 roku YMTC pojawiło się z planami wejścia do biznesu 3D NAND.A CXMT obecnie rozwija pierwsze w Chinach pamięci DRAM własnej produkcji.

Oba są rynkami konkurencyjnymi, zwłaszcza NAND.3D NAND jest następcą planarnej pamięci flash NAND.W przeciwieństwie do płaskiej pamięci NAND, która jest strukturą 2D, 3D NAND przypomina pionowy wieżowiec, w którym poziome warstwy komórek pamięci są układane w stos, a następnie łączone za pomocą małych pionowych kanałów.

3D NAND jest określany ilościowo na podstawie liczby warstw ułożonych w urządzeniu.Im więcej warstw jest dodawanych, tym gęstość bitów rośnie w systemach.Ale wyzwania produkcyjne narastają w miarę dodawania kolejnych warstw.

„Istnieją dwa duże wyzwania związane ze skalowaniem 3D NAND” - powiedział Rick Gottscho, wiceprezes wykonawczy i CTO w firmie Lam Research.„Jednym z nich jest naprężenie w filmach, które narasta w miarę nakładania coraz większej liczby warstw, co może wypaczać wafel i zniekształcać wzory.Następnie, gdy wybierzesz wersję dwupokładową lub potrójną, wyrównanie stanie się większym wyzwaniem ”.

Tymczasem wydaje się, że YMTC przezwyciężyło niektóre z tych wyzwań.W zeszłym roku YMTC dostarczyło swój pierwszy produkt - 64-warstwowe urządzenie 3D NAND.Teraz YMTC próbkuje 128-warstwową technologię 3D.

Firma jest w tyle.Dla porównania, międzynarodowi dostawcy dostarczają 92- / 96-warstwowe urządzenia NAND 3D.Rozwijają również produkty 112- / 128-warstwowe.

Mimo to YMTC może stać się czynnikiem, przynajmniej w Chinach.Chipy YMTC są wbudowywane w karty USB i dyski SSD firm z Chin.Jeśli chińscy producenci OEM zastosują technologię YMTC, „może to spowodować przełom w udziale w rynku pamięci NAND” - powiedział Jeongdong Choe, analityk TechInsights.

Z pewnością jednak Chiny mają długą drogę do zapamiętania, zanim staną się głównym konkurentem.„IC Insights pozostaje bardzo sceptycznie nastawiony do tego, czy kraj może rozwinąć dużą konkurencyjną rodzimą branżę pamięci nawet w ciągu następnych 10 lat, która jest bliska zaspokojenia potrzeb związanych z IC pamięci” - powiedział Bill McClean, prezes IC Insights.

To samo dotyczy sygnałów analogowych, logicznych, sygnałów mieszanych i RF.„Potrzeba dziesięcioleci, zanim chińskie firmy staną się konkurencyjne w segmentach produktów IC bez pamięci” - powiedział McClean.

W międzyczasie w Chinach pojawiło się kilku chińskich dostawców GaN i SiC.Wydają się być dostawcami odlewni i materiałów, ale najwyraźniej Chiny są w tyle.GaN jest używany do półprzewodników mocy i RF, podczas gdy SiC jest przeznaczony do urządzeń zasilających.

„Rynek chiński stanowi znaczącą szansę w globalnej branży energoelektroniki, głównie w segmentach motoryzacyjnym i konsumenckim” - powiedział Ahmed Ben Slimane, analityk ds. Technologii i rynku w Yole Développement.„Napędzane zastosowaniami pojazdów elektrycznych / hybrydowo-elektrycznych, urządzenia SiC zaczęły być wdrażane przez wiodących chińskich producentów samochodów, takich jak BYD w modelu Han EV.W branży Power GaN chińscy producenci smartfonów, tacy jak Xiaomi, Huawei, Oppo i Vivo, zdecydowali się na GaN w technologii szybkiego ładowania.Napędzani przez silnych producentów systemów w Chinach, chińscy gracze wafle i urządzenia mają z pewnością dobrą pozycję pod względem konkurencyjności kosztowej i rosnącej jakości, biorąc pod uwagę obecny kontekst konfliktu USA-Chiny ”.

To z kolei napędza rozwój ekosystemu.„Po pojawieniu się półprzewodników z szerokopasmową przerwą na rynku energoelektroniki Chiny rzeczywiście naciskają na innowacyjne technologie i zaczęły budować swój krajowy łańcuch wartości” - powiedział Ezgi Dogmus, analityk ds. Technologii i rynku w Yole Développement.„W chińskim ekosystemie SiC Power, widzimy różnych graczy angażujących się na poziomie płytek, epiwaferów i urządzeń.Dotyczy to takich graczy jak Tankeblue i SICC w waflach, Epiworld i TYSiC w epiwafer i Sanan IC w odlewniach.Jeśli chodzi o rynek Power GaN, od 2019 roku byliśmy świadkami wejścia konkurencyjnych producentów urządzeń GaN, takich jak Innoscience, oraz różnych integratorów systemów w dziedzinie szybkich ładowarek ”.

Plany pakowania

Chiny mają również duże plany w zakresie opakowań.JCET to największy chiński producent opakowań.Ma również kilka innych OSAT.

„Chińska technologia OSAT jest dość aktualna w stosunku do głównych możliwości przemysłu, postrzegana jako znacznie węższa luka technologiczna w porównaniu z technologią wytwarzania płytek czołowych.Są w stanie obsługiwać prawie wszystkie popularne typy pakietów ”- powiedział Leong z firmy FormFactor.„Pojawiająca się heterogeniczna technologia integracji 2.5D / 3D jest nadal rozwijana w Chinach, wyraźnie za liderami branży, takimi jak TSMC, Intel i Samsung”.

Potencjalnie jednak Chiny mogą wypełnić lukę w zaawansowanych opakowaniach.Dotyczy to nie tylko opakowań, ale także technologii półprzewodnikowej.

Obecnie w przypadku zaawansowanych projektów branża zazwyczaj opracowuje układ ASIC przy użyciu skalowania chipów.W tym miejscu zmniejszasz różne funkcje w każdym węźle i pakujesz je na monolitycznej kostce.Ale to podejście staje się droższe w każdym węźle.

Branża szuka nowych podejść.Innym sposobem opracowania projektu na poziomie systemu jest złożenie złożonych matryc w zaawansowanym pakiecie.„Ponieważ prawo Moore'a zwalnia, heterogeniczna integracja z zaawansowaną technologią pakowania stanowi jedyną w swoim rodzaju okazję dla Chin do nadrobienia zaległości w dziedzinie półprzewodników” - powiedział Leong. (Artykuł pochodzi od Marka LaPedusa)

Szczegóły kontaktu